Mentor Graphics definiert mit Calibre nmDRC das traditionelle Design-to-Manufacturing neu; Teil der neuen Calibre-nm-Plattform
WILSONVILLE, Oregon/USA, 10. Juli 2006 - Mentor Graphics Corporation kündigt heute die Verfügbarkeit von Calibre® nmDRC an. Das neue Tool definiert den traditionellen Design-Rule-Checking(DRC)-Schritt neu, indem es die Gesamtzykluszeit drastisch reduziert und wichtige Elemente wie die Analyse kritischer Bereiche sowie die Identifizierung aller entscheidenden Features integriert. Diese sind erforderlich, um den Yield-Herausforderungen des Nanometer-Designs zu begegnen. Calibre nmDRC ist Teil einer neuen Plattform von Mentor, der Calibre-nm-Plattform. Diese Plattform signalisiert einen bedeutenden Wandel in der Art und Weise, wie EDA-Technologien die Komplexität von Nanometer-Designs bewältigen.

Die Evolution des traditionellen DRC

Bei Nanometer-Technologien hat sich die physikalische Verifikation zu einem technisch ausgefeilten, mehrstufigen Prozess entwickelt, der hoch integrierte Ansätze für die Verarbeitung und das Handling großer Mengen komplexer Designdaten verlangt. Die Gesamtzykluszeit steigt aufgrund von komplexeren und größeren Designs, einer höheren Anzahl von Fehlern und mehr Verifikationzyklen. Um das Verlangen nach an kürzeren Zyklen abzudecken antwortet Calibre nmDRC mit einem revolutionären neuen Ansatz. Es bietet vier Hauptfunktionsmerkmale, die Calibre nmDRC von traditionellen DRC-Tools unterscheiden.
  • Hyperscaling-Technologie bringt überlegene Skalierbarkeit und blitzschnelle Laufzeiten für rechenintensive Applikationen. Hyperscaling bietet fortschrittliche Datenverarbeitungsoptionen, die die schnellste Single-CPU- und Multi-CPU-Performance zur Verfügung stellen. Damit lassen sich Blöcke in Sekunden und komplette Chips in Stunden verifizieren. Hyperscaling reduziert den Kapitalaufwand, da es die nutzbare Lebensdauer von bestehenden Prozessorsystemen mit gemeinsamem Speicher verlängert und den vollständigen Einsatz von kostengünstigen verteilten Rack-Systemen unterstützt.

  • Dynamic Results Visualisation (DRV) und inkrementelles DRC verändern den traditionellen sequenziellen Flow des Iterations-Prozesses radikal. DRV ermöglicht es Designern, innerhalb von Sekunden mit dem Debugging zu beginnen, sobald der erste Fehler im ersten Verifikationslauf festgestellt wurde. Nachdem die Fehler fixiert wurden, führt das inkrementelle DRC simultane Verifikationsläufe nur für die geänderten Bereiche durch. Diese Fähigkeit ermöglicht es Designern, an einem einzigen Tag mehrere Ablauf-/Debug-Zyklen durchzuführen, was die Gesamtzykluszeit erheblich verringert.

  • Integrierte Design-for-Manufacturing(DFM)-Analyse und Verbesserung ermöglichen Layout-Tradeoffs zur Minimierung von wahlfreien, systematischen und parametrischen Yield-Verlusten. Simultanes DRC, Yield-Analyse und Layout-Modifizierung verringern die Gesamtzeit, die zum Herstellen eines Layouts, das nicht nur mit den Designregeln konform geht, sondern auch eine hohe Ausbeute hat, erforderlich ist.

  • Direkter Datenbankenzugriff gestattet Designern den mühelosen Einsatz von Calibre nmDRC während des Flows und zwar unabhängig von ihrer gewählten Designerstellungsumgebung. Direkter Lesezugriff auf populäre Design- und gekapselte Datenbanken (LEF/DEF, MilkyWay, OpenAccess, GDSII und OASIS) beschleunigen die DRC-Zykluszeit, da sie ohne separate Prozessschritte für das Daten-Streamout auskommen. Direkter Schreibzugriff ermöglicht Back-Annotation von DFM-Verbesserungen in die Designdatenbank. Letztendlich reduziert die Unterstützung des OASIS-Stream-File-Formats die Dateigröße, was einen schnelleren Streamout ermöglicht und den Dateitransfer sowie die Datenspeicherung erleichtert.
„Wir haben mit der neuen Hyperscaling-Technologie von Calibre nmDRC erstaunliche Resultate erzielt“, sagte Kun-Cheng Wu, Design Development Director von Faraday Technology. „Bei unseren vorhandenen Designs, die auf 90 nm und 130 nm abzielen, konnten wir mit dem neuen Hyperscaling die DRC-Laufzeiten um das Fünffache beschleunigen. Die Genauigkeit der Verifikation war dabei genau so gut wie beim jetzigen MTflex. Da der Trend nach größeren, immer komplexeren Designs anhält, wird die Laufzeit der physikalischen Verifikation immer entscheidender. Wir freuen uns sehr, dass das Hyperscaling von Calibre nmDRC so gut wie erwartet funktioniert.“

„Wir freuen uns sehr über die Markteinführung von Calibre nmDRC, da es die Art der physikalischen Verifikation neu definiert. Der Eindruck bei den Kunden während der umfangreichen Beta-Testperiode von Calibre nmDRC war ein einfaches ‚Wow’“, sagte Joe Sawicki, Vice President und General Manager der Design to Silicon Division von Mentor Graphics. „Obwohl es in der Industrie eine anerkannte Weisheit ist, dass alle Innovationen von Start-Ups kommen, ist Calibre eine seltene Ausnahme von dieser Regel. Calibre bestimmt die Innovation, zuerst durch Auslieferung des einzigen DFM-Tools, das in einer gemeinsamen Plattform mit Calibre YieldAnalyzer, Calibre YieldEnhancer sowie Calibre Litho Friendly Design Tools integriert ist und jetzt mit Calibre nmDRC.

Die Sub-65-nm-Herausforderung

Bei 65 nm besteht der Design-Signoff nicht mehr länger nur aus DRC und LVS (Layout Versus Schematic). Diese Basiskomponenten der physikalischen Verifikation werden sowohl um eine Reihe umfassender Fähigkeiten zur Yield-Analyse als auch um Layout-Verbesserungen sowie um Funktionen zur Validierung der Bedruckbarkeit und Performance erweitert. Zudem reflektiert die wachsende Komplexität der Nanometer-Designregeln die Tatsache, dass es immer schwieriger wird, Layout-Ingenieure und ihre Tools anzuleiten, um fertigungsgerechte Layouts mittels traditioneller Signoff-Ansätze zu produzieren. In der Nanometer-Ära lässt sich mit traditionellem compliance-basierten Signoff, DRC/LVS und Post-Layout-Analysen, die As-Drawn-Layout verwenden, nicht mehr die gewünschte Ausbeute erzielen.

Um eine hohe Ausbeute beim Einsatz der Nanometer-Prozesstechnologie zu gewährleisten, fordern Designer neue Informationen und neue Möglichkeiten zur Beurteilung, die über Design-Rule-Checking hinaus bis zur Yield-Analyse gehen. Angesichts der immer komplexeren Prozessbedingungen und größeren Prozessschwankungen, denen sie gegenüberstehen, benötigen sie neue Wege, um die Qualität ihrer Designs zu bewerten. Zudem brauchen sie neue Wege, um zu erkennen, welchen Einfluss diese Bedingungen und Schwankungen auf die Designqualität haben. Letztendlich benötigen sie eine neue Art von Arbeitsumgebung, die es ihnen erlaubt ein Verständnis dafür zu entwickeln, welcher dieser Effekte am wichtigsten ist, um ihn während des Prozesses zur Verbesserung des Designqualität zu adressieren. Mentor’s Antwort auf diese substantiellen Veränderungen in den Design-Signoff-Anforderungen ist die Calibre-nm-Plattform.

Über die Calibre-nm-Plattform

Um die „neue Realität“ der Nanometer-Design-Ära zu adressieren, nutzt die Calibre-nm-Plattform Technologien der nächsten Generation für Litho-Friendy Design (LFD), DRC, Resolution Enhancement Technology (RET) sowie parasitäre Extraktion und Analyse während des Post-Layouts, um Designteams beim effizienten Übergang von einem Regel-basierten Ansatz auf einen Modell-basierten Ansatz, bei dem die Genauigkeit des Siliziums und die Designzykluszeit erheblich besser sind, zu helfen. Die Calibre-nm-Plattform bietet durch die spezifischen Charakteristiken der zugrunde liegenden Architektur einen außergewöhnlichen Nutzen.
  • Calibre’s Datenverarbeitungs-Engine der fünften Generation bietet die beste Laufzeit ihrer Klasse und die verteilte Verarbeitung ermöglicht die Nutzung kostengünstiger Linux-Cluster.

  • Die Datenverarbeitungs-Engine, das Herz der Calibre-nm-Plattform, gewährleistet robuste Tests und Implementierungen in allen Anwendungen.

  • Integration einer gemeinsamen Design-Plattform ermöglicht den schnellen Einsatz aller Calibre-nm-Plattform-Anwendungen in den Design-Umgebungen der Anwender.

  • Eine integrierte Scripting-Umgebung über alle Anwendungen (SVRF und TVF) hinweg erlaubt es den Anwendern, ihre Design- und Verifikationsumgebungen individuell an die Bedürfnisse und steigenden Anforderungen ihrer Designteams anzupassen.
Über Mentor Graphics Corporation

Mentor Graphics Corporation (Nasdaq: MENT) gehört zu den weltweit führenden Unternehmen, die Software- und Hardwarelösungen für die Entwicklung elektronischer Schaltungen anbieten. Zu Mentors Portfolio gehören Produkte, Beratungs- und Supportdienstleistungen, auf die die weltweit erfolgreichsten Elektronik- und Halbleiterhersteller vertrauen und dies mit der Verleihung zahlreicher Auszeichnungen an Mentor zum Ausdruck gebracht haben. Das 1981 gegründete Unternehmen erzielte in den zurückliegenden zwölf Monaten einen Gesamtumsatz von über 700 Mio. US-Dollar und beschäftigt weltweit ca. 4.000 Mitarbeiter. Der Hauptsitz von Mentor Corporate befindet sich den USA, 8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777; Die Adresse der Niederlassung im Silicon Valley lautet: 1001 Ridder Park Drive, San Jose, Kalifornien 95131-2314. Weitere Informationen unter: http://www.mentor.com
 
 
 
» Siemens EDA
» Presse Informationen
» Presse-Information
Datum: 10.07.2006 09:45
Nummer: 15/06
» Downloads
Datenblatt Calibre nmDRC (Datenblatt_Calibre_nmDRC.pdf)
Executive Brief nmDRC (Executive_Brief_ nmDRC.pdf)
Präsentation Calibre nmDRC (Praesentation_Calibre_nmDRC.pdf)
» Kontakt
Mentor Graphics (Deutschland) GmbH
Arnulfstr. 201
80634 München
Gabriele Tremmel
Tel.: 089-57096-0
Fax: 089-57096-400
www.mentor.com/germany/
» Kontakt Agentur
MEXPERTS AG
Trimburgstr. 2
81249 München
Ansprechpartner
Rolf Bach / Peter Gramenz
Tel.:  +49 (0)89/ 89 73 61-0
Fax  +49 (0)89/ 89 73 61-29
Email: peter.gramenz@mexperts.de
Internet: www.mexperts.de
» Weitere Meldungen
13.03.2024 15:15
Siemens demonstriert erste Pre-Silicon-Simulationsumgebung für die Arm Cortex-A720AE für Software Defined Vehicles

29.02.2024 15:15
Siemens schließt sich der Semiconductor Education Alliance an, um dem Fachkräfte- und Talentmangel in der globalen Halbleiterindustrie zu begegnen

20.02.2024 10:00
Bahnbrechende Veloce CS-Lösung von Siemens revolutioniert Emulation und Prototyping mit drei neuen Produkten

06.12.2023 11:00
RS Group wählt Simulationstechnologie von Siemens EDA für neuen cloud-basierten DesignSpark Circuit Simulator

22.11.2023 11:00
Siemens bringt zusammen mit Arm und AWS PAVE360 in die Cloud und ermöglicht so die nächste Generation automobiler Innovationen